Benutzer: Gast  Login
Sortieren nach:
und:
Mehr ...

Walter Stechele, Oliver Bringmann, Rolf Ernst, Andreas Herkersdorf, Katharina Hojenski, Peter Janacik, Franz Rammig, Jürgen Teich, Norbert Wehn, Johannes Zeppenfeld, Daniel Ziener
Autonomic MPSoCs for Reliable Systems
GMM/GI/ITG Fachtagung Zuverlässigkeit und Entwurf, ZuD
2007

Mehr ...

Andreas Herkersdorf, Walter Stechele
Exploitation of Reconfiguration in Advanced Applications Run-Time Reconfiguration for High Performance Video-based Driver Assistance and High-Speed IP Networking
DATE Tutorial on Reconfigurable Computing: Architectures, Tools and Applications
2007

Mehr ...

Walter Stechele, Oliver Bringmann, Rolf Ernst, Andreas Herkersdorf, Katharina Hojenski, Peter Janacik, Franz Rammig, Jürgen Teich, Norbert Wehn, Johannes Zeppenfeld, Daniel Ziener
Concepts for Autonomic Integrated Systems
eda-Workshop
2007

Mehr ...

Christopher Claus, Walter Stechele, Andreas Herkersdorf
Autovision-A Run-time Reconfigurable MPSoC Architecture for future Driver Assistance Systems
it - Information Technology Journal
2007
3
Jun

Mehr ...

Michael Meitinger, Rainer Ohlendorf, Thomas Wild, Andreas Herkersdorf
A Programmable Stream Processing Engine for Packet Manipulation in Network Processors
IEEE Computer Society Annual Symposium on VLSI, 2007 (ISVLSI '07)
2007

Mehr ...

Andreas Lankes, Thomas Wild, Johannes Zeppenfeld
Power Estimation of Time Variant SoCs with TAPES
10th EUROMICRO Conference on Digital System Design: Architectures, Methods, Tools (DSD 07)
2007

Mehr ...

Rainer Ohlendorf, Thomas Wild, Michael Meitinger, Holm Rauchfuss, Andreas Herkersdorf
Simulated and Measured Performance Evaluation of RISC-based SoC Platforms in Network Processing Applications
Journal of Systems Architecture
2007
Volume 53
Issue 10
Oct
pp 703-718

Mehr ...

Rainer Ohlendorf, Michael Meitinger, Thomas Wild, Andreas Herkersdorf
A Packet Classification Technique for On-Chip Processing Path Selection
pp 95-102
Proceedings of the 5th Workshop on Application Specific Processors (WASP'07)
2007

Mehr ...

Gregor Walla, Andreas Barthels, Florian Ruf, Robert Dörfel, Hans-Ulrich Michel, Joachim Fröschl, Ottmar Sirch, Uwe Baumgarten, Hans-Georg Herzog, Andreas Herkersdorf
Framework and Model for the Evaluation of Energy Efficiency of Partitioning Alternatives, Elektrik/Elektronik in Hybrid- und Elektrofahrzeugen und elektrisches Energiemanagement
pp. 151-158
Haus der Technik
2012

Mehr ...

Andreas Herkersdorf, Christopher Claus, Michael Meitinger, Rainer Ohlendorf, Thomas Wild
Reconfigurable Processing Units vs. Reconfigurable Interconnects
Dagstuhl Seminar on Dynamically Reconfigurable Architectures
2007