Benutzer: Gast  Login
Sortieren nach:
und:
Mehr ...

Errahmouni Barkam, Hamza;Yun, Sanggeon;Genssler, Paul R.;Zou, Zhuowen;Liu, Che-Kai;Amrouch, Hussam;Imani, Mohsen
In-Memory Acceleration of Hyperdimensional Genome Matching on Unreliable Emerging Technologies
IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I)
2024

Mehr ...

Shahin, Sufia;Kumar, Shubham;Chatterjee, Swetaki;Amrouch, Hussam;Singh Chauhan, Yogesh
Monolithic 3D Integration using BEOL FeFET: Reliability, Thermal Effects, and DNN Accuracy
IEEE Electron Devices Technology & Manufacturing Conference (EDTM'24)
2024

Mehr ...

Chatterjee, Swetaki;Baruah, Nistha;Deshwal, Swati;Kar, Anirban;Singh Parihar, Shivendra;Singh Chauhan, Yogesh;Amrouch, Hussam
Monolithic 3D Integration using BEOL FeFET: Reliability, Thermal Effects, and DNN Accuracy
IEEE Electron Devices Technology & Manufacturing Conference (EDTM'24)
2024

Mehr ...

Kumar, Shubham;Singh Chauhan, Yogesh;Amrouch, Hussam
Monolithic 3D Integration using BEOL FeFET: Reliability, Thermal Effects, and DNN Accuracy
IEEE Electron Devices Technology & Manufacturing Conference (EDTM'24)
2024

Mehr ...

Amrouch, Hussam;van Santan, Victor;Diaz Fortuny, Javier;Klemme, Florian
Machine Learning Unleashes Aging and Self-Heating Effects: From Transistors to Full Processor
Proceedings of the IEEE 62nd International Reliability Physics Symposium (IRPS'24)
2024

Mehr ...

Kar, Anirban;Klemme, Florian;Chauhan. S, Yogesh;Amrouch, Hussam
On the Severity of Self-Heating in FDSOI at Cryogenic Temperatures: In-depth analysis from Transistors to Full Processor
Proceedings of the IEEE 62nd International Reliability Physics Symposium (IRPS'24)
2024

Mehr ...

Parihar, Shivendra;Pawha, Girish;Chauhan. S, Yogesh;Amrouch, Hussam
Impact of Self-Heating in 5 nm FinFETs at Cryogenic Temperatures for Reliable Quantum Computing: Device-Circuit Interaction
Proceedings of the IEEE 62nd International Reliability Physics Symposium (IRPS'24)
2024

Mehr ...

Mayahinia, Mahta;Thomann, Simon;Genssler, Paul R.;Münch, Christopher;Amrouch, Hussam;Mehdi B., Tahoori
Algorithm to Technology Co-Optimization for CiM-based Hyperdimensional Computing
Proceedings of the Conference on Design, Automation & Test in Europe (DATE)
2024

Mehr ...

Genssler, Paul R.;Thomann, Simon;Amrouch, Hussam
Tutorial: The Synergy of Hyperdimensional and In-memory Computing
International Conference on Hardware/Software Codesign and System Synthesis (CODES/ISSS )
2023

Mehr ...

Mayahinia, Mahta;Thomann, Simon;Genssler, Paul R.;Münch, Christopher;Amrouch, Hussam;Mehdi B., Tahoori
Algorithm to Technology Co-Optimization for CiM-based Hyperdimensional Computing
Proceedings of the Conference on Design, Automation & Test in Europe (DATE)
2024