User: Guest  Login
Sort by:
and:
More ...

Philipp Wagner, Lin Li, Thomas Wild, Albrecht Mayer, Andreas Herkersdorf
What happens on an MPSoC stays on an MPSoC - unfortunately!
2016 International Symposium on Integrated Circuits (ISIC)
2016

More ...

Santiago Pagani, Lars Bauer, Qingqing Chen, Elisabeth Glocker, Frank Hannig, Andreas Herkersdorf, Heba Khdr, Anuj Pathania, Ulf Schlichtmann, Doris Schmitt-Landsiedel, Mark Sagi, Éricles Sousa, Philipp Wagner, Volker Wenzel, Thomas Wild, Jörg Henkel
Dark silicon management: an integrated and coordinated cross-layer approach
it - Information Technology
2016
58
6
297–307

More ...

Shiva Shankar Subramanian, Pinxing Lin, Andreas Herkersdorf, Thomas Wild
Hardware Acceleration of Signature Matching through Multi­ Layer Transition Bit Masking
226-233
ITNAC 2016, International Telecommunication Networks and Applications Conference
2016

More ...

Sabine Ott, R. Andritschke, Alexander Bähr, Norbert Meidinger, J. Müller-Seidlitz, Markus Plattner, Walter Stechele, W. Treberspurg
Modeling of DEPFET based X-ray Detectors for Athena’s Wide Field Imager
IEEE Nuclear Science Symposium
2016

More ...

Jagath Weerasinghe, Francois Abel, Christoph Hagleitner, Andreas Herkersdorf
Disaggregated FPGAs: Network Performance Comparison against Bare-Metal Servers, Virtual Machines and Linux Containers
8th IEEE International Conference on Cloud Computing Technology and Science
IEEE
2016

More ...

Hou Zhao Qi Rex, Jong Ching Chuen, Andreas Herkersdorf
ANN Predicted Apps-Usage Aware Linux Scheduler for Asymmetrical Multi Cluster SoC
Journal of Software
2016
Voume 11
Number 7
Jul
623-630

More ...

Stefan Wildermann, Michael Bader, Lars Bauer, Marvin Damschen, Dirk Gabriel, Michael Gerndt, Michael Glaß, Jörg Henkel, Johny Paul, Alexander Pöppl, Sascha Roloff, Tobias Schwarzer, Gregor Snelting, Walter Stechele, Jürgen Teich, Andreas Weichslgartner, Andreas Zwinkau
Invasive computing for timing-predictable stream processing on MPSoCs
it - Information Technology
2016

More ...

Andre Richter, Christian Herber, Thomas Wild, Andreas Herkersdorf
Resolving Performance Interference in SR-IOV Setups with PCIe Quality-of-Service Extensions
2016 Euromicro Conference on Digital System Design (DSD)
2016

More ...

Erol Koser, Sebastian Krösche, Walter Stechele
Integrated Soft Error Resilience and Self-Test
IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC) 2016
2016

More ...

Ravi Kumar Pujari, Thomas Wild, Andreas Herkersdorf
TCU: A Multi-Objective Hardware Thread Mapping Unit for HPC Clusters
International Supercomputing Conference High Performance -- ISC 2016
2016