Benutzer: Gast  Login
Sortieren nach:
und:
Mehr ...

Genssler, Paul R.;Thomann, Simon;Amrouch, Hussam
Tutorial: The Synergy of Hyperdimensional and In-memory Computing
International Conference on Hardware/Software Codesign and System Synthesis (CODES/ISSS )
2023

Mehr ...

Hu, Yuting;Li, Jiajie;Klemme, Florian;Nam, Gi-Joon;Ma, Tengfei;Amrouch, Hussam;Xiong, Jinjun
SyncTREE: Fast Timing Analysis for Integrated Circuit Design through a Physics-informed Tree-based Graph Neural Network
Thirty-seventh Conference on Neural Information Processing Systems (NeurIPS)
2023

Mehr ...

Abdulrahman, Maram;Wasif, Sandy;Wael, Miran;Azab, Eman;Abd El, Mohamed;Mashaly, Maggie;mohamed, Abdel Ghany;Amrouch, Hussam
A Review on Hyperdimensional Computing
Proceedings of the IEEE International Conference on Mechatronics (ICM)
2023

Mehr ...

Novkin, Rodion;Amrouch, Hussam;Klemme, Florian
Approximation-Aware and Quantization-Aware Training for Graph Neural Networks
IEEE Transactions on Computers (TC)
2023
09

Mehr ...

Kumar, Shubham;Chauhan S., Yogesh;Amrouch, Hussam
Ultra-Efficient Edge AI using FeFET-based Monolithic 3D Integration
IEEE/ACM 42nd International Conference on Computer-Aided Design (ICCAD)
2023

Mehr ...

Errahmouni Barkam, Hamza;Yun, Sanggeon;Chen, Hanning;Genssler, Paul;Mema, Albi;Ding, Andrew;Michelogiannakis, George;Amrouch, Hussam;Imani, Mohsen
Reliable Hyperdimensional Reasoning on Unreliable Emerging Technologies
IEEE/ACM 42nd International Conference on Computer-Aided Design (ICCAD)
2023

Mehr ...

Yun, Sanggeon;Errahmouni Barkam, Hamza;Latapie, Hugo;Genssler, Paul R.;Amrouch, Hussam;Imani, Mohsen
Hyperdimensional Computing for Robust and Efficient Unsupervised Learning
57th Asilomar Conference on Signals, Systems, and Computers, (Asilomar)
2023

Mehr ...

van Santen, Victor;Klemme, Florian;Genssler, Paul;Amrouch, Hussam
Challenges in Machine Learning Techniques to Estimate Reliability from Transistors to Circuits
IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)
2023

Mehr ...

Jafarzadeh, Hanieh;Klemme, Florian;Dennis Reimer, Jan;Paria Najafi Haghi, Zahra;Amrouch, Hussam;Hellebrand, Sybille;Wunderlich, Hans-Joachim
Robust Pattern Generation for Small Delay Faults under Process Variations
IEEE International Test Conference (ITC)
2023

Mehr ...

Thapar, Dhruv;Thomann, Simon;Arjun, Chaudhuri;Amrouch, Hussam;Chakrabarty, Krishnendu
Analysis and Characterization of Defects in FeFETs
IEEE International Test Conference (ITC)
2023