Benutzer: Gast  Login
Sortieren nach:
und:
Mehr ...

Emrich, Karsten;Foik, Conrad;Kappes, Johannes;Prebeck, Sebastian;Mueller-Gritschneder, Daniel;Ecker, Wolfgang;Schlichtmann, Ulf
A Flexible Simulation Environment for RISC-V
RISC-V Summit Europe
2023

Mehr ...

Kappes, Johannes;Kunzelmann, Robert;Emrich, Karsten;Foik, Conrad;Mueller-Gritschneder, Daniel;Ecker, Wolfgang
Effective Processor Model Generation from Instruction Set Simulator to Hardware Design
2023 IEEE Nordic Circuits and Systems Conference (NorCAS)
IEEE
2023

Mehr ...

Putz, Maximilian;Ludwig, Matthias;Lippmann, Bernhard;Graeb, Helmut
PLaNe: Reverse Engineering of Planar Layouts to Gate-Level Netlists
2023 IEEE Physical Assurance and Inspection of Electronics (PAINE)
IEEE
2023

Mehr ...

Kilian, Tobias;Tille, Daniel;Huch, Martin;Hanel, Markus;Schlichtmann, Ulf
Performance Screening using Functional Path Ring Oscillators
IEEE Transactions on Very Large Scale Integration Systems (TVLSI)
2023

Mehr ...

Bellarmino, Nicolò;Cantoro, Riccardo;Huch, Martin;Kilian, Tobias;Martone, Raffaele;Schlichtmann, Ulf;Squillero, Giovanni
A Multi-Label Active Learning Framework for Microcontroller Performance Screening
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD)
2023

Mehr ...

Schlichtmann, Ulf;Yu, Bei;Li, Bing;Gal, Raviv
Guest Editors’ Introduction: Special Issue on Machine Learning for CAD / EDA
IEEE Design and Test
2023

Mehr ...

Geier, Johannes;Mueller-Gritschneder, Daniel
vRTLmod: An LLVM Based Open-Source Tool to Enable Fault Injection in Verilator RTL Simulations
Proceedings of the 20th ACM International Conference on Computing Frontiers
Association for Computing Machinery
2023

Mehr ...

Mettler, Marcel;Rapp, Martin;Khdr, Heba;Mueller-Gritschneder, Daniel;Henkel, Jörg;Schlichtmann, Ulf
MonTM: Monitoring-based Thermal Management for Mixed-Criticality Systems
14th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 12th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms (PARMA-DITAM 2023)
Schloss Dagstuhl -- Leibniz-Zentrum für Informatik
2023

Mehr ...

Truppel, Alexandre;Tseng, Tsun-Ming;Schlichtmann, Ulf
Accurate Infinite-order Crosstalk Calculation for Optical Networks-on-Chip
IEEE/OSA Journal of Lightwave Technology (JLT)
2023
41
1
January
4 - 16

Mehr ...

Huang, Xing;Pan, Youlin;Chen, Zhen;Guo, Wenzhong;Wang, Lu;Li, Qingshan;Wille, Robert;Ho, Tsung-Yi;Schlichtmann, Ulf
Design Automation for Continuous-Flow Lab-on-a-Chip Systems: A One-Pass Paradigm
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD)
2023
42
1
January
327 -- 331