Benutzer: Gast  Login
Sortieren nach:
und:
Mehr ...

Li, Mengchu; Gu, Hanchen; Zhang, Yushen; Liang, Siyuan; Gasvoda, Hudson; Altay, Rana; Araci, Ismail; Tseng, Tsun-Ming; Ho, Tsung-Yi; Schlichtmann, Ulf
Late Breaking Results: Efficient Built-in Self-Test for Microfluidic Large-Scale Integration (mLSI)
ACM/IEEE Design Automation Conference (DAC)
2024

Mehr ...

Liang, Siyuan; Zhang, Yushen; Altay, Rana; Gasvoda, Hudson; Li, Mengchu; Araci, Ismail Emre; Tseng, Tsun-Ming; Schlichtmann, Ulf; Ho, Tsung-Yi
LaMUX: Optimized Logic-Gate-Enabled High-Performance Microfluidic Multiplexer Design
ACM/IEEE Design Automation Conference (DAC)
2024

Mehr ...

Zheng, Zhidan; Cheng, Liaoyuan; Arisawa, Kanta; Li, Qingyu; Truppel, Alexandre; Yamashita, Shigeru; Tseng, Tsun-Ming; Schlichtmann, Ulf
Multi-Resonance Mesh-Based Wavelength-Routed Optical Networks-on-Chip
ACM/IEEE Design Automation Conference (DAC)
2024

Mehr ...

Emrich, Karsten;Mueller-Gritschneder, Daniel;Schlichtmann, Ulf;
A Concise, Architecture-Focused ASIP Modeling Approach for Instruction Set Simulators
Methods and Description Languages for Modelling and Verification of Circuits and Systems (MBMV)
2024

Mehr ...

Eldebiky, Amro;Zhang, Grace Li;Böcherer, Georg;Li, Bing;Schlichtmann, Ulf
CorrectNet+: Dealing with HW Non-Idealities in In-Memory-Computing Platforms by Error Suppression and Compensation
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD)
2024

Mehr ...

Xu, Kangwei;Zhang, Grace Li;Schlichtmann, Ulf;Li, Bing
Logic Design of Neural Networks for High-Throughput and Low-Power Applications
IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC)
2024

Mehr ...

Huang, Qingrong;Barkam, Hamza Errahmouni;Yang, Zeyu;Yang, Jianyi;Kämpfe, Thomas;Ni, Kai;Zhang, Li;Li, Bing;Schlichtmann, Ulf;Imani, Mohsen;Zhuo, Cheng;Yin, Xunzhao
A FeFET-based Time-Domain Associative Memory for Multi-bit Similarity Computation
Design, Automation and Test in Europe (DATE)
2024

Mehr ...

Qiu, Ruidi;Eldebiky, Amro;Zhang, Li;Yin, Xunzhao;Zhuo, Cheng;Schlichtmann, Ulf;Li, Bing
OplixNet: Towards Area-Efficient Optical Split-Complex Networks with Real-to-Complex Data Assignment and Knowledge Distillation
Design, Automation and Test in Europe (DATE)
2024

Mehr ...

Ibrahimpasic, Tarik;Zhang, Li;Brunner, Michaela;Sigl, Georg;Li, Bing;Schlichtmann, Ulf
ScanCamouflage: Obfuscating Scan Chains with Camouflaged Sequential and Logic Gates
Design, Automation and Test in Europe (DATE)
2024

Mehr ...

Chen, Chuangtao;Zhang, Li;Yin, Xunzhao;Zhuo, Cheng;Schlichtmann, Ulf;Li, Bing
Computational and Storage Efficient Quadratic Neurons for Deep Neural Networks
Design, Automation and Test in Europe (DATE)
2024