User: Guest  Login
Sort by:
and:
More ...

Matthias Ihmig, Andreas Herkersdorf
Flexible multi-standard multi-channel system architecture for Software Defined Radio receiver
Intelligent Transport Systems Telecommunications (ITST), The 9th International Conference on ITS Telecommunications
2009

More ...

Paul Zuber, Othman Bahlous, Thomas Ilnseher, Michael Ritter, Walter Stechele
Wire Topology Optimization for Low Power CMOS
1-11
Very Large Scale Integration (VLSI) Systems, IEEE Transactions
2009

More ...

Abdelmajid Bouajila, Johannes Zeppenfeld, Andreas Herkersdorf, Walter Stechele
Multi-Bit Error Protection for Self-Correcting CPU Pipelines
eda Workshop
2009

More ...

Abelmajid Bouajila, Thomas Sommer, Johannes Zeppenfeld, Walter Stechele, Andreas Herkersdorf
A Fault-Tolerant Processor Architecture
Workshop „Dependability and Fault-Tolerance“ (ARCS)
2009

More ...

Daniel Llorente, Kimon Karras, Thomas Wild, Andreas Herkersdorf
Advanced Packet Segmentation and Buffering Algorithms in Network Processors
4th International Conference on High Performance and Embedded Architectures and Compilers
2009

More ...

Rainer Ohlendorf, Michael Meitinger, Thomas Wild, Andreas Herkersdorf
FlexPath NP- Flexible, Dynamically Reconfigurable Processing Paths in Network Processors
Dynamically Reconfigurable Systems, Architectures, Design, Methods and Applications
Springer
2009

More ...

Rainer Ohlendorf, Michael Meitinger, Thomas Wild, Andreas Herkersdorf
An Application-aware Load Balancing Strategy for Network Processors
International Conference on High-Performance Embedded Architectures and Compilers (HiPEAC)
2010

More ...

Andreas Lankes, Andreas Herkersdorf, Sören Sonntag, Helmut Reinig
NoC Topology Exploration for Mobile Multimedia Applications
The 16th IEEE International Conference on Electronics, Circuits and Systems
2009

More ...

Zhonglei Wang, Andreas Herkersdorf
Software performance simulation strategies for high-level embedded system design
International Journal of Performance Evaluation
2010

More ...

Christian Köhler, Albrecht Mayer, Andreas Herkersdorf
Chip Hardware-in-the-Loop Simulation (CHILS) Coupling Optimization through new Algorithm Analysis Technique
Proceedings 16th International Conference Mixed Design of Integrated Circuits and Systems
2009