Benutzer: Gast  Login
Dokumenttyp:
Konferenzbeitrag
Autor(en):
Ravi Kumar Pujari, Thomas Wild, Andreas Herkersdorf
Titel:
TCU: A Multi-Objective Hardware Thread Mapping Unit for HPC Clusters
Abstract:
Meeting multiple, partially orthogonal optimization targets during thread scheduling on HPC and manycore platforms simultaneously, like maximizing CPU performance, meeting deadlines of time critical tasks, minimizing power and securing thermal resilience, is a major challenge because of associated scalability and thread management overhead. We tackle these challenges by introducing the Thread Control Unit (TCU), a configurable, low-latency, low-overhead hardware thread mapper in compute nodes o...     »
Stichworte:
InvasIC B3
Dewey-Dezimalklassifikation:
620 Ingenieurwissenschaften
Kongress- / Buchtitel:
International Supercomputing Conference High Performance -- ISC 2016
Datum der Konferenz:
19 - 22 June
Jahr:
2016
Jahr / Monat:
2016-06
Monat:
Jun
TUM Einrichtung:
Lehrstuhl für Integrierte Systeme
 BibTeX