User: Guest  Login
Document type:
Konferenzbeitrag
Author(s):
Ravi Kumar Pujari, Thomas Wild, Andreas Herkersdorf
Title:
TCU: A Multi-Objective Hardware Thread Mapping Unit for HPC Clusters
Abstract:
Meeting multiple, partially orthogonal optimization targets during thread scheduling on HPC and manycore platforms simultaneously, like maximizing CPU performance, meeting deadlines of time critical tasks, minimizing power and securing thermal resilience, is a major challenge because of associated scalability and thread management overhead. We tackle these challenges by introducing the Thread Control Unit (TCU), a configurable, low-latency, low-overhead hardware thread mapper in compute nodes o...     »
Keywords:
InvasIC B3
Dewey Decimal Classification:
620 Ingenieurwissenschaften
Book / Congress title:
International Supercomputing Conference High Performance -- ISC 2016
Date of congress:
19 - 22 June
Year:
2016
Year / month:
2016-06
Month:
Jun
TUM Institution:
Lehrstuhl für Integrierte Systeme
 BibTeX