Benutzer: Gast  Login
Sortieren nach:
und:
Mehr ...

Armin Sadighi, Thomas Wild, Andreas Herkersdorf
Self-Aware MPSoC Architecture Extensions for Automotive Applications
Organic Computing
Kassel University Press GmbH
2018

Mehr ...

Ala’ F. Khalifeh, Nael Fasfous, Ramzi Theodory, Serina Giha, Khalid A. Darabkh
An Experimental Evaluation and Prototyping for Visible Light Communication
Computers & Electrical Engineering Journal, Elsevier
2018
Nov

Mehr ...

Guang Chen, Hu Cao, Muhammad Aafaque, Jieneng Chen, Canbo Ye, Florian Röhrbein, Jörg Conradt, Kai Chen, Zhenshan Bing, Xingbo Liu, Gereon Hinz, Walter Stechele, Alois Knoll
Neuromorphic Vision Based Multivehicle Detection and Tracking for Intelligent Transportation System
Journal of Advanced Transportation
2018
Volume 2018

Mehr ...

Gerard Vives Vallduriola, Tim Helfers, Franz Biersack, Steffen Linssen, Dr. Jens Utzmann, Alessandro Vananti
THE USE OF DIFFERENT ARCHITECTURES AND STREAK OBSERVATIONS ALGORITHMS TO DETECT SPACE DEBRIS
6th International Workshop on On-Board Payload Data Compression
2018

Mehr ...

Armin Sadighi, Bryan Donyanavard, Thawra Kadeed, Kasra Moazzemi, Tiago Mück, Ahmed Nassar, Amir M. Rahmani, Thomas Wild, Nikil Dutt, Rolf Ernst, Andreas Herkersdorf, Fadi Kurdahi
Design methodologies for enabling self-awareness in autonomous systems
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE)
IEEE
2018

Mehr ...

Mischa Möstl, Johannes Schlatow, Rolf Ernst, Nikil Dutt, Ahmed Nassar, Amir Rahmani, Fadi J. Kurdahi, Thomas Wild, Armin Sadighi, Andreas Herkersdorf
Platform-Centric Self-Awareness as a Key Enabler for Controlling Changes in CPS
IEEE
Proceedings of the IEEE
2018

Mehr ...

Shiva Shankar Subramanian, PinXing Lin, Andreas Herkersdorf, Thomas Wild
Bitmaps & Bitmasks: Efficient Tools to Compress Deterministic Automata
Australian Journal of Telecommunications and the Digital Economy
2018
Vol 6
No 3

Mehr ...

Sebastian Vogel, Mengyu Liang, Andre Guntoro, Walter Stechele, Gerd Ascheid
Efficient Hardware Acceleration of CNNs using Logarithmic Data Representation with Arbitrary log-base
International Conference On Computer Aided Design (ICCAD)
2018

Mehr ...

Leonard Masing, Akshay Srivatsa, Fabian Kress, Nidhi Anantharajaiah, Andreas Herkersdorf, Juergen Becker
In-NoC-circuits for low-latency cache coherence in distributed shared-memory architectures
2018 IEEE 12th International Symposium on Embedded Multicore/Many-core Systems-on-Chip
2018

Mehr ...

Thomas Goldbrunner, Thomas Wild, Andreas Herkersdorf
Memory Access Pattern Profiling for Streaming Applications Based on MATLAB Models
28th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS)
2018