User: Guest  Login
Sort by:
and:
More ...

Paul Zuber, Armin Windschiegl, Raoul Medina, Walter Stechele, Andreas Herkersdorf
Reduction of CMOS Power Consumption and Signal Integrity Issues by Routing Optimization
Design, Automation & Test in Europe (DATE)
2005

More ...

Gabriel Lipsa, Andreas Herkersdorf, Wolfgang Rosenstiel, Oliver Bringmann, Walter Stechele
Towards a Framework and a Design Methodology for Autonomic SoC
International Conference on Autonomic Computing (ICAC)
2005

More ...

David Taylor, Andreas Herkersdorf, Andreas Döring, Gero Dittmann
Robust Header Compression (ROHC) in Next-Generation Network Processors
IEEE/ACM Transactions on Networking
2005

More ...

Rainer Ohlendorf, Andreas Herkersdorf, Thomas Wild
FlexPath NP - A Network Processor Concept with Application-Driven Flexible Processing Paths
CODES+ISSS
2005

More ...

Jürgen Foag, Thomas Wild
Predictive Processing Architecture Extension for Network Processora
IEEE International Conference on Electronics, Circuits and Systems (ICECS)
2005