User: Guest  Login
Sort by:
and:
More ...

Chen, Qingqing;Csaba, György;Lugli, Paolo;Schlichtmann, Ulf;Rührmair, Ulrich
The Bistable Ring PUF: A New Architecture for Strong Physical Unclonable Functions
IEEE Int. Symposium on Hardware-Oriented Security and Trust
2011

More ...

Chen, Ning;Li, Bing;Schlichtmann, Ulf
Timing Modeling of Flipflops Considering Aging Effects
International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS)
2011

More ...

Kleeberger, Veit Benedikt;Schlichtmann, Ulf
Zuverlässigkeit digitaler Schaltungen unter Einfluss von intrinsischem Rauschen
Advances in Radio Science
2011
9

More ...

Strasser, Martin;Eick, Michael;Graeb, Helmut;Schlichtmann, Ulf
Deterministic Analog Placement by Enhanced Shape Functions
95--145
Analog Layout Synthesis
Graeb, Helmut
Springer
2011

More ...

Graeb, Helmut
Analog Layout Synthesis - A Survey of Topological Approaches
Springer
2011

More ...

Chen, Qingqing;Csaba, György;Lugli, Paolo;Schlichtmann, Ulf;Stutzmann, Martin;Rührmair, Ulrich
Circuit-Based Approaches to SIMPL Systems
Journal of Circuits, Systems, and Computers
2011
20
1
jan
1-17

More ...

Eick, Michael;Strasser, Martin;Lu, Kun;Schlichtmann, Ulf;Graeb, Helmut
Comprehensive Generation of Hierarchical Placement Rules for Analog Integrated Circuits
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
2011
30
2
feb
180-193

More ...

Pehl, Michael;Graeb, Helmut
An SQP and Branch-and-Bound Based Approach for Discrete Sizing of Analog Circuits
297-316
Advances in Analog Circuits
Tlelo-Cuautle, Esteban
InTech
2011

More ...

Pan, Xin;Graeb, Helmut
Lifetime Yield Optimization of Analog Circuits Considering Process Variations and Parameter Degradations
131-146
Advances in Analog Circuits
Tlelo-Cuautle, Esteban
InTech
2011

More ...

Wang, Zhonglei;Lu, Kun;Herkersdorf, Andreas
An Approach to Improve Accuracy of Source-Level TLMs of Embedded Software
Design, Automation and Test in Europe (DATE)
2011